I am trying to implement a Uart Lite IP core on my Zedboard. But I am having issues with Uart RX and TX pin mapping in the XDC file. I am getting the following errors:
[Vivado 12-1411] Cannot set LOC property of ports, Site location (IOPAD_X1Y125) is not valid for the shape with the following elements:
uart_rx_IBUF_inst
uart_rx
[D:/VivdoProjects/SCRs_CNTR_Uart/ConstraintsFile.xdc:92]
As per this reference: http://vserver.13thfloor.at/Stuff/AXIOM/ALPHA/xc7z020clg484_zedboard.txt
my mapping is as follow:
set_property IOSTANDARD LVCMOS33 [get_ports uart_rx]
set_property PACKAGE_PIN D11 [get_ports {uart_rx}]
set_property IOSTANDARD LVCMOS33 [get_ports uart_tx]
set_property PACKAGE_PIN C14 [get_ports {uart_tx}]
can anyone explains what's happening here?
Thanks